JavaScript is disabled in your web browser or browser is too old to support JavaScript. Today almost all web pages contain JavaScript, a scripting programming language that runs on visitor's web browser. It makes web pages functional for specific purposes and if disabled for some reason, the content or the functionality of the web page can be limited or unavailable.

Takk for at du vil dele artikkelen

Den du deler artikkelen med, kan lese og eventuelt lytte til heile artikkelen.
Det gjer vi for at fleire skal oppdage DAG OG TID.

Namnet ditt vert synleg for alle du deler artikkelen med.

Samfunn

Høgt spel om tynne brikker

Du har neppe høyrt om TSMC. Men utan produkta frå dette taiwanske selskapet stoggar mykje av verda opp.

Kvar veke les vi inn utvalde artiklar, som abonnentane våre kan lytte til.
Lytt til artikkelen
Ein mikroprosessor pynta med flagget til Taiwan. Det taiwanske selskapet TSMC er dominerande i produksjonen av mikrobrikker av topp kvalitet.

Ein mikroprosessor pynta med flagget til Taiwan. Det taiwanske selskapet TSMC er dominerande i produksjonen av mikrobrikker av topp kvalitet.

Illustrasjon: Shutterstock / NTB

Ein mikroprosessor pynta med flagget til Taiwan. Det taiwanske selskapet TSMC er dominerande i produksjonen av mikrobrikker av topp kvalitet.

Ein mikroprosessor pynta med flagget til Taiwan. Det taiwanske selskapet TSMC er dominerande i produksjonen av mikrobrikker av topp kvalitet.

Illustrasjon: Shutterstock / NTB

10853
20220909

Mikrobrikka

Den grunnleggande komponenten i datateknologi.

Også kalla integrert krins eller mikrochip.

Oppfunnen av Jack S. Kilby i selskapet Texas Instruments i 1958.

Laga av ein halvleiar – ofte silisium.

Kan innehalde millionar av komponentar som er forbundne med metalltrådar på overflata.

10853
20220909

Mikrobrikka

Den grunnleggande komponenten i datateknologi.

Også kalla integrert krins eller mikrochip.

Oppfunnen av Jack S. Kilby i selskapet Texas Instruments i 1958.

Laga av ein halvleiar – ofte silisium.

Kan innehalde millionar av komponentar som er forbundne med metalltrådar på overflata.

Samfunn

peranders@dagogtid.no

Det vart mildt sagt rabalder da Nancy Pelosi, speaker i Representanthuset i USA, besøkte Taiwan i august. Somme frykta at visitten skulle bli starten på ein ny verdskrig. Styresmaktene i Kina nøydde seg ikkje med verbale åtvaringar, men sende ut ein armada på ei massiv militærøving i farvatna kring øystaten.

Men eit anna interessant aspekt ved besøket har fått mindre omtale. I Taipei møtte ikkje Pelosi berre representantar for regjeringa, men òg styreleiar Mark Lui i selskapet Taiwan Semiconductor Manufacturing Corporation (TSMC).

Detaljane i samtalen er ikkje kjende, men ramma er velkjend: Pelosi og regjeringa i Washington vil at TSMC skal selje færre avanserte datakomponentar til Kina og flytte delar av produksjonen sin til USA. Den amerikanske støtta til Taiwan handlar ikkje berre om ideologi, forsvar for demokratiet eller geopolitisk rivalisering. Det handlar òg om at USA vil hegne om ein særs sentral leverandør av teknologi.

Viktige brikker

Som kjent er det datamaskiner som får det meste til å tikke og gå i den moderne verda, og det har blitt ekstra tydeleg dei siste par åra. Global mangel på viktige komponentar i dataindustrien har forseinka produksjonen av mellom anna bilar (nye bilar er stinne av datateknologi), mobiltelefonar, PC-ar, Play Station-konsollar og andre produkt, og ventelistene kan vere årelange. Og den trongaste flaskehalsen har vore produksjonen av mikrobrikkene, også kalla integrerte krinsar eller chipar.

Desse små, straumførande byggjeklossane er kjernen i alt av datateknologi, og produksjonen er i dag dominert av ei handfull selskap. Desse har ikkje klart å møte etterspurnaden etter at pandemien var over. Og den desidert største aktøren er Taiwan-selskapet TSMC. Så dominerande har dette selskapet blitt, at det gjer verdsøkonomien sårbar.

Halvfabrikat

Om du ikkje jobbar i databransjen, er det lite truleg at Taiwan Semiconductor Manufacturing Corporation seier deg noko særleg. TSMC er langt frå eit verdsnamn à la Apple eller Tesla. Likevel er dette altså eit selskap som Apple, Tesla og resten av den moderne verda er avhengige av. Som namnet fortel, er produkta frå TSMC laga av halvleiarar – det vil seie dei stoffa som er føresetnaden for all datateknologi, og mest brukt er silisium. Mikrobrikkene frå TSMC gjer telefonane våre smarte, dei held jagarfly i lufta og bilar på vegen.

Selskapet produserer slike brikker på bestilling for kva kjøpar som helst, og står ikkje for verken designet av krinsane eller monteringa av dei ferdige produkta. Det er altså ein type halvfabrikat TSMC leverer. Tilsynelatande verkar dette som ein ganske enkel industriproduksjon, og det er ikkje råvaretilgangen det står på: Silisium er det nest vanlegaste grunnstoffet i verda etter oksygen.

I front

Likevel er verken amerikanske, europeiske eller kinesiske industriselskap i dag i stand til å lage mikrochipar av same kvalitet som dei TSMC lagar. Og selskapet er aller mest suverent når det gjeld dei aller beste brikkene, som enkelt sagt er dei brikkene som klarer å utføre reknestykka sine raskast og mest energieffektivt. Ein gong var «Made in Taiwan» nesten synonymt med produkt av elendig kvalitet. Den tida er over.

Medan TSMC har omtrent halvparten av verdsmarknaden for databrikker, leverer selskapet over 90 prosent av dei aller mest avanserte databrikkene. Berre koreanske Samsung kan kallast ein seriøs utfordrar, men ligg langt bak. «Industrien er utruleg avhengig av TSMC, særleg når du kjem til forskingsfronten, og det er ganske risikabelt», sa Peter Hanbury, partner i det amerikanske konsulentselskapet Bain til Financial Times i fjor: «For 20 år sidan var det 20 slike produsentar, no skjer storparten av nyskapinga på ein campus i Taiwan.»

Alkymi

Det er noko underleg og anakronistisk med dominansen til TSMC. I industrialderen er vi vande med at alle tekniske framsteg ganske raskt blir kopierte andre stader, lovleg eller ulovleg, gjennom inspirasjon eller industrispionasje, utkontraktering eller bistand. Slik har teknologi spreidd seg, slik har verda utvikla seg. Men her er det altså snakk om ein essensiell del av den tekniske utviklinga i verda, som berre eitt selskap klarer å produsere i høgaste kvalitet. Det er som om TSMC driv med moderne alkymi. I røynda handlar det mest om ein særs kompetent og spesialisert arbeidsstokk.

Korleis kunne eitt einaste selskap få ein slik posisjon? Og korleis våga verda å gjere seg så avhengig av ein produsent i eit så utsett land, med Kina som ein trugande gigant inne på fastlandet? Beijing meiner som kjent at Taiwan er og blir ein del av Kina.

Kutt i vest

Mykje av forklaringa handlar ganske enkelt om lønsemd, og langt på veg kan industrileiarar i USA takke seg sjølve for at selskapa deira har blitt så avhengige av TSMC. Lenge var det amerikanske selskap som hadde hegemoniet i produksjonen av dei mest avanserte mikrobrikkene. Men det var ikkje i sjølve produksjonen av silisiumskivene den store profitten låg. Det var mykje meir pengar å tene i andre delar av produksjonen: på sjølve designet av prosessorar, for ikkje å snakke om det å lage populære merkevarer som Apple sine.

Produksjonen av sjølve brikkene var rekna som meir primitiv, noko som kunne setjast bort til Asia. På engelsk går denne delen av forsyningskjeda i dataindustrien under namnet foundry, altså støyperi. Ikkje minst: Med dei stigande krava til kvalitet vart produksjonen av dei stadig tynnare og stadig meir avanserte brikkene stadig dyrare. Nesten alle vestlege dataselskap kutta dette leddet frå sin eigen produksjon og valde å kjøpe mikrochipar frå spesialiserte «støyperi» – dei fleste av dei i Asia. Blant dei få unnataka var den amerikanske datagiganten Intel, som heldt fram med å lage brikker til sine eigne prosessorar.

Lønsamt

Medan dei aller fleste vestlege dataselskapa slutta å støype sine eigne databrikker, gjekk eit statleg selskap i Taiwan motsett veg. I 1987 vart Taiwan Semiconductor Manufacturing Corporation skilt ut frå eit teknologisk institutt i Taipei. Ved hjelp av enorme investeringar spesialiserte selskapet seg på nett den produksjonen som vestlege selskap gjekk bort frå, og TSMC vann marknadsdelar år for år. Satsinga var lønsam, for dominansen gjorde det mogleg å ta seg betre betalt for brikkene. I dag er TSMC verdt over fire billionar kroner og har vore inne på lista over dei ti mest verdifulle børsnoterte selskapa i verda.

Dermed har òg TSMC økonomiske musklar til å halde seg framfor konkurrentane. Å lage ein ny fabrikk for produksjon av databrikker av høg kvalitet er ekstremt dyrt. Prislappen på eit slikt anlegg er kring 50 milliardar kroner, er eit gjengs anslag frå bransjen. Ei slik satsing vegrar dei fleste selskap seg for. Samstundes ser politikarar i både vest og aust at det er farleg å vere så avhengig av éin databrikkeleverandør i ei verd der spenninga mellom stormaktene aukar, der business ikkje berre er business, og der ein ikkje lenger kan ta for gjeve at forsyningslinene fungerer.

Pisk og gulrot

Alt dette er ein del av bakteppet for møtet mellom Nancy Pelosi og styreleiaren til TSMC i august. USA brukar både pisk og gulrot for å sikre seg sin del av dei beste mikro­brikkene i verda – og hindre at kinesarane får tak i dei. I handelskrigen som Donald Trump førte mot Kina, var eitt av grepa å tvinge TSMC til å stogge leveransane sine av databrikker til det kinesiske storselskapet Huawei.

I tillegg pressa amerikanske styresmakter hardt på for å få TSMC til å etablere ein ny fabrikk i USA. Grunnleggjaren Morris Chang var sterkt skeptisk, fordi han meinte USA mangla kvalifisert arbeidskraft. Men Trump fekk viljen sin. Fabrikkanlegget er under oppføring i Arizona og skal produsere brikker frå 2024. Dermed får TSMC nyte godt av eit nytt amerikansk kongressvedtak kalla Chips and Science Act, som mellom anna medførte ei løyving på 500 milliardar kroner i subsidiar til selskap som vil lage mikrochipar i USA.

Som ein nær perfekt parallell la EU-kommisjonen i vår fram sin eigen EU Chips Act, som mellom anna inneber over 400 milliardar kroner i subsidiar til selskap som vil produsere halvleiarar i Europa. Målet er at EU skal oppnå det kommisjonen kallar strategisk sjølvstende. Det er makt i dei integrerte krinsane.

I skvis

For leiinga til TSMC kjennest kanskje denne omfamninga klam. Selskapet har i fleire tiår halde seg inne med både aust og vest, og trass i sanksjonane mot Huawei leverer TSMC store mengder databrikker til Kina. Selskapet har dessutan ein fabrikk i kinesiske Nanjing – som rett nok ikkje produserer dei mest avanserte brikkene. Også Beijing kan tenkjast å straffe TSMC med sanksjonar om selskapet blir for USA-venleg.

Samtidig er Kina svært avhengig av produkta frå Taiwan for å halde sin eigen industri gåande. President Xi Jinping har kalla den kinesiske avhengigheita av utanlandsk teknologi for «den største skjulte trusselen» mot landet, og Kina satsar no tungt på utvikling av mellom anna eigne mikrochipar. Produksjonen av kinesiske databrikker aukar da òg raskt, men kvaliteten skal førebels vere langt bak produkta til TSMC og koreanske Samsung.

Den kinesiske uroa er spegla i Washington: At berre TSMC og Samsung kan lage dei mest avanserte halvleiarane, er ein tryggleiksrisiko for USA, heitte det nyleg i ein rapport Biden-regjeringa laga om forsyningsliner.

Fridomschipar

Til slutt må vi spørje: Er den suverene statusen til brikkemakarane i Taiwan ein tryggingspolitisk fordel for landet, eller gjer han øya meir sårbar? President Tsai Ing-Wen hevda det første i samband med Pelosi-besøket i august: Teknologikunnskapen til Taiwan er med på å sikre støtte til demokratiet i landet, sa ho til Reuters. Presidenten lova at landet ville samarbeide med partnerar internasjonalt om forsyningskjedene for det ho kalla «fridomschipar».

I kampen om dei ettertrakta brikkene frå Taiwan har amerikanarane minst éin stor fordel: Det amerikanske militæret er det einaste reelle vernet landet har dersom kinesarane skulle prøve å invadere øya.

Og koplinga mellom tryggingspolitikk og næringspolitikk er ofte openberr. 31. august kom ei pressemelding frå guvernøren i Arizona. Det var ei gladmelding: TSMC gjorde «stor framgang» med bygginga av den nye fabrikken sin i delstaten. I same pressemeldinga skrytte guvernøren av bidraga frå Arizona i opplæringa av taiwanske jagarflypilotar.

Digital tilgang til DAG OG TID – heilt utan binding

Prøv ein månad for kr 49.
Deretter kr 199 per månad. Stopp når du vil.

Samfunn

peranders@dagogtid.no

Det vart mildt sagt rabalder da Nancy Pelosi, speaker i Representanthuset i USA, besøkte Taiwan i august. Somme frykta at visitten skulle bli starten på ein ny verdskrig. Styresmaktene i Kina nøydde seg ikkje med verbale åtvaringar, men sende ut ein armada på ei massiv militærøving i farvatna kring øystaten.

Men eit anna interessant aspekt ved besøket har fått mindre omtale. I Taipei møtte ikkje Pelosi berre representantar for regjeringa, men òg styreleiar Mark Lui i selskapet Taiwan Semiconductor Manufacturing Corporation (TSMC).

Detaljane i samtalen er ikkje kjende, men ramma er velkjend: Pelosi og regjeringa i Washington vil at TSMC skal selje færre avanserte datakomponentar til Kina og flytte delar av produksjonen sin til USA. Den amerikanske støtta til Taiwan handlar ikkje berre om ideologi, forsvar for demokratiet eller geopolitisk rivalisering. Det handlar òg om at USA vil hegne om ein særs sentral leverandør av teknologi.

Viktige brikker

Som kjent er det datamaskiner som får det meste til å tikke og gå i den moderne verda, og det har blitt ekstra tydeleg dei siste par åra. Global mangel på viktige komponentar i dataindustrien har forseinka produksjonen av mellom anna bilar (nye bilar er stinne av datateknologi), mobiltelefonar, PC-ar, Play Station-konsollar og andre produkt, og ventelistene kan vere årelange. Og den trongaste flaskehalsen har vore produksjonen av mikrobrikkene, også kalla integrerte krinsar eller chipar.

Desse små, straumførande byggjeklossane er kjernen i alt av datateknologi, og produksjonen er i dag dominert av ei handfull selskap. Desse har ikkje klart å møte etterspurnaden etter at pandemien var over. Og den desidert største aktøren er Taiwan-selskapet TSMC. Så dominerande har dette selskapet blitt, at det gjer verdsøkonomien sårbar.

Halvfabrikat

Om du ikkje jobbar i databransjen, er det lite truleg at Taiwan Semiconductor Manufacturing Corporation seier deg noko særleg. TSMC er langt frå eit verdsnamn à la Apple eller Tesla. Likevel er dette altså eit selskap som Apple, Tesla og resten av den moderne verda er avhengige av. Som namnet fortel, er produkta frå TSMC laga av halvleiarar – det vil seie dei stoffa som er føresetnaden for all datateknologi, og mest brukt er silisium. Mikrobrikkene frå TSMC gjer telefonane våre smarte, dei held jagarfly i lufta og bilar på vegen.

Selskapet produserer slike brikker på bestilling for kva kjøpar som helst, og står ikkje for verken designet av krinsane eller monteringa av dei ferdige produkta. Det er altså ein type halvfabrikat TSMC leverer. Tilsynelatande verkar dette som ein ganske enkel industriproduksjon, og det er ikkje råvaretilgangen det står på: Silisium er det nest vanlegaste grunnstoffet i verda etter oksygen.

I front

Likevel er verken amerikanske, europeiske eller kinesiske industriselskap i dag i stand til å lage mikrochipar av same kvalitet som dei TSMC lagar. Og selskapet er aller mest suverent når det gjeld dei aller beste brikkene, som enkelt sagt er dei brikkene som klarer å utføre reknestykka sine raskast og mest energieffektivt. Ein gong var «Made in Taiwan» nesten synonymt med produkt av elendig kvalitet. Den tida er over.

Medan TSMC har omtrent halvparten av verdsmarknaden for databrikker, leverer selskapet over 90 prosent av dei aller mest avanserte databrikkene. Berre koreanske Samsung kan kallast ein seriøs utfordrar, men ligg langt bak. «Industrien er utruleg avhengig av TSMC, særleg når du kjem til forskingsfronten, og det er ganske risikabelt», sa Peter Hanbury, partner i det amerikanske konsulentselskapet Bain til Financial Times i fjor: «For 20 år sidan var det 20 slike produsentar, no skjer storparten av nyskapinga på ein campus i Taiwan.»

Alkymi

Det er noko underleg og anakronistisk med dominansen til TSMC. I industrialderen er vi vande med at alle tekniske framsteg ganske raskt blir kopierte andre stader, lovleg eller ulovleg, gjennom inspirasjon eller industrispionasje, utkontraktering eller bistand. Slik har teknologi spreidd seg, slik har verda utvikla seg. Men her er det altså snakk om ein essensiell del av den tekniske utviklinga i verda, som berre eitt selskap klarer å produsere i høgaste kvalitet. Det er som om TSMC driv med moderne alkymi. I røynda handlar det mest om ein særs kompetent og spesialisert arbeidsstokk.

Korleis kunne eitt einaste selskap få ein slik posisjon? Og korleis våga verda å gjere seg så avhengig av ein produsent i eit så utsett land, med Kina som ein trugande gigant inne på fastlandet? Beijing meiner som kjent at Taiwan er og blir ein del av Kina.

Kutt i vest

Mykje av forklaringa handlar ganske enkelt om lønsemd, og langt på veg kan industrileiarar i USA takke seg sjølve for at selskapa deira har blitt så avhengige av TSMC. Lenge var det amerikanske selskap som hadde hegemoniet i produksjonen av dei mest avanserte mikrobrikkene. Men det var ikkje i sjølve produksjonen av silisiumskivene den store profitten låg. Det var mykje meir pengar å tene i andre delar av produksjonen: på sjølve designet av prosessorar, for ikkje å snakke om det å lage populære merkevarer som Apple sine.

Produksjonen av sjølve brikkene var rekna som meir primitiv, noko som kunne setjast bort til Asia. På engelsk går denne delen av forsyningskjeda i dataindustrien under namnet foundry, altså støyperi. Ikkje minst: Med dei stigande krava til kvalitet vart produksjonen av dei stadig tynnare og stadig meir avanserte brikkene stadig dyrare. Nesten alle vestlege dataselskap kutta dette leddet frå sin eigen produksjon og valde å kjøpe mikrochipar frå spesialiserte «støyperi» – dei fleste av dei i Asia. Blant dei få unnataka var den amerikanske datagiganten Intel, som heldt fram med å lage brikker til sine eigne prosessorar.

Lønsamt

Medan dei aller fleste vestlege dataselskapa slutta å støype sine eigne databrikker, gjekk eit statleg selskap i Taiwan motsett veg. I 1987 vart Taiwan Semiconductor Manufacturing Corporation skilt ut frå eit teknologisk institutt i Taipei. Ved hjelp av enorme investeringar spesialiserte selskapet seg på nett den produksjonen som vestlege selskap gjekk bort frå, og TSMC vann marknadsdelar år for år. Satsinga var lønsam, for dominansen gjorde det mogleg å ta seg betre betalt for brikkene. I dag er TSMC verdt over fire billionar kroner og har vore inne på lista over dei ti mest verdifulle børsnoterte selskapa i verda.

Dermed har òg TSMC økonomiske musklar til å halde seg framfor konkurrentane. Å lage ein ny fabrikk for produksjon av databrikker av høg kvalitet er ekstremt dyrt. Prislappen på eit slikt anlegg er kring 50 milliardar kroner, er eit gjengs anslag frå bransjen. Ei slik satsing vegrar dei fleste selskap seg for. Samstundes ser politikarar i både vest og aust at det er farleg å vere så avhengig av éin databrikkeleverandør i ei verd der spenninga mellom stormaktene aukar, der business ikkje berre er business, og der ein ikkje lenger kan ta for gjeve at forsyningslinene fungerer.

Pisk og gulrot

Alt dette er ein del av bakteppet for møtet mellom Nancy Pelosi og styreleiaren til TSMC i august. USA brukar både pisk og gulrot for å sikre seg sin del av dei beste mikro­brikkene i verda – og hindre at kinesarane får tak i dei. I handelskrigen som Donald Trump førte mot Kina, var eitt av grepa å tvinge TSMC til å stogge leveransane sine av databrikker til det kinesiske storselskapet Huawei.

I tillegg pressa amerikanske styresmakter hardt på for å få TSMC til å etablere ein ny fabrikk i USA. Grunnleggjaren Morris Chang var sterkt skeptisk, fordi han meinte USA mangla kvalifisert arbeidskraft. Men Trump fekk viljen sin. Fabrikkanlegget er under oppføring i Arizona og skal produsere brikker frå 2024. Dermed får TSMC nyte godt av eit nytt amerikansk kongressvedtak kalla Chips and Science Act, som mellom anna medførte ei løyving på 500 milliardar kroner i subsidiar til selskap som vil lage mikrochipar i USA.

Som ein nær perfekt parallell la EU-kommisjonen i vår fram sin eigen EU Chips Act, som mellom anna inneber over 400 milliardar kroner i subsidiar til selskap som vil produsere halvleiarar i Europa. Målet er at EU skal oppnå det kommisjonen kallar strategisk sjølvstende. Det er makt i dei integrerte krinsane.

I skvis

For leiinga til TSMC kjennest kanskje denne omfamninga klam. Selskapet har i fleire tiår halde seg inne med både aust og vest, og trass i sanksjonane mot Huawei leverer TSMC store mengder databrikker til Kina. Selskapet har dessutan ein fabrikk i kinesiske Nanjing – som rett nok ikkje produserer dei mest avanserte brikkene. Også Beijing kan tenkjast å straffe TSMC med sanksjonar om selskapet blir for USA-venleg.

Samtidig er Kina svært avhengig av produkta frå Taiwan for å halde sin eigen industri gåande. President Xi Jinping har kalla den kinesiske avhengigheita av utanlandsk teknologi for «den største skjulte trusselen» mot landet, og Kina satsar no tungt på utvikling av mellom anna eigne mikrochipar. Produksjonen av kinesiske databrikker aukar da òg raskt, men kvaliteten skal førebels vere langt bak produkta til TSMC og koreanske Samsung.

Den kinesiske uroa er spegla i Washington: At berre TSMC og Samsung kan lage dei mest avanserte halvleiarane, er ein tryggleiksrisiko for USA, heitte det nyleg i ein rapport Biden-regjeringa laga om forsyningsliner.

Fridomschipar

Til slutt må vi spørje: Er den suverene statusen til brikkemakarane i Taiwan ein tryggingspolitisk fordel for landet, eller gjer han øya meir sårbar? President Tsai Ing-Wen hevda det første i samband med Pelosi-besøket i august: Teknologikunnskapen til Taiwan er med på å sikre støtte til demokratiet i landet, sa ho til Reuters. Presidenten lova at landet ville samarbeide med partnerar internasjonalt om forsyningskjedene for det ho kalla «fridomschipar».

I kampen om dei ettertrakta brikkene frå Taiwan har amerikanarane minst éin stor fordel: Det amerikanske militæret er det einaste reelle vernet landet har dersom kinesarane skulle prøve å invadere øya.

Og koplinga mellom tryggingspolitikk og næringspolitikk er ofte openberr. 31. august kom ei pressemelding frå guvernøren i Arizona. Det var ei gladmelding: TSMC gjorde «stor framgang» med bygginga av den nye fabrikken sin i delstaten. I same pressemeldinga skrytte guvernøren av bidraga frå Arizona i opplæringa av taiwanske jagarflypilotar.

USA brukar både pisk og gulrot for å sikre seg sin del av dei beste mikrobrikkene i verda.

Fleire artiklar

Anatolij Potij er ein eksperimenterande amatørgartnar. Takk vere han er det no mogleg for ukrainarar å dyrke bananar i eigen heim.

Anatolij Potij er ein eksperimenterande amatørgartnar. Takk vere han er det no mogleg for ukrainarar å dyrke bananar i eigen heim.

Foto: rubryka.com

KrigSamfunn

Bananane som overlevde

I krig og okkupasjon kan både folk og vekstar vise seg uventa motstandsdyktige.

Andrej Kurkov
Anatolij Potij er ein eksperimenterande amatørgartnar. Takk vere han er det no mogleg for ukrainarar å dyrke bananar i eigen heim.

Anatolij Potij er ein eksperimenterande amatørgartnar. Takk vere han er det no mogleg for ukrainarar å dyrke bananar i eigen heim.

Foto: rubryka.com

KrigSamfunn

Bananane som overlevde

I krig og okkupasjon kan både folk og vekstar vise seg uventa motstandsdyktige.

Andrej Kurkov
Selv med økende immunitet i befolkningen, viser tilgjengelige, men altfor sparsomme data at sars-cov-2-viruset fortsetter å belaste vårt samfunn, skriver Gunhild Alvik Nyborg.

Selv med økende immunitet i befolkningen, viser tilgjengelige, men altfor sparsomme data at sars-cov-2-viruset fortsetter å belaste vårt samfunn, skriver Gunhild Alvik Nyborg.

Foto: Stian Lysberg Solum / NTB

Ordskifte
Gunhild AlvikNyborg

Svar til Preben Aavitsland

Å gjennomgående underkjenne seriøse, konsistente forskningsresultater er vitenskapsfornektelse.

Den andre boka i septologien til Asta Olivia Nordenhof er no komen på norsk.

Den andre boka i septologien til Asta Olivia Nordenhof er no komen på norsk.

Foto: Albert Madsen

LitteraturKultur
Jan H. Landro

Kapital, kjærleik og Scandinavian Star

Asta Olivia Nordenhof held på med ein dyster romanserie om dødsbrannen på «Scandinavian Star». Ho vil likevel ikkje gi opp vona om at dagens verdsorden kan endrast til noko betre.

Judith Butler er filosof og ein frontfigur innanfor kjønnsteori.

Judith Butler er filosof og ein frontfigur innanfor kjønnsteori.

Foto: Thomas Lohnes / NTB

IntervjuSamfunn
Ida Lødemel Tvedt

Paven midt imot

Alle lèt til å misforstå kvarandre i kjønnsdebatten. Judith Butler blir både dyrka og demonisert av folk som ikkje har lese eit ord av bøkene hen skriv.

Villreinbestanden i Noreg i dag er på rundt 25.000 dyr vinterstid. Sidan 2021 har villreinen vore klassifisert som nær truga på den norske raudlista.

Villreinbestanden i Noreg i dag er på rundt 25.000 dyr vinterstid. Sidan 2021 har villreinen vore klassifisert som nær truga på den norske raudlista.

Foto: Paul Kleiven / NTB

Kommentar

Villrein i eit villnis

Stortingsmeldinga om villrein er ikkje til å verte særleg klok av.

Eva Aalberg Undheim
Villreinbestanden i Noreg i dag er på rundt 25.000 dyr vinterstid. Sidan 2021 har villreinen vore klassifisert som nær truga på den norske raudlista.

Villreinbestanden i Noreg i dag er på rundt 25.000 dyr vinterstid. Sidan 2021 har villreinen vore klassifisert som nær truga på den norske raudlista.

Foto: Paul Kleiven / NTB

Kommentar

Villrein i eit villnis

Stortingsmeldinga om villrein er ikkje til å verte særleg klok av.

Eva Aalberg Undheim

les DAG OG TID.
Vil du òg prøve?

Her kan du prøve vekeavisa DAG OG TID gratis i tre veker.
Prøveperioden stoppar av seg sjølv.

Komplett

Papiravisa
Digital utgåve av papiravisa
Digitale artiklar
Digitalt arkiv
Lydavis

Digital

Digital utgåve av papiravisa
Digitale artiklar
Digitalt arkiv
Lydavis

Komplett

Papiravisa
Digital utgåve av papiravisa
Digitale artiklar
Digitalt arkiv
Lydavis

Digital

Digital utgåve av papiravisa
Digitale artiklar
Digitalt arkiv
Lydavis